Virtex UltraScale+ HBM FPGA:革命性提升存储器的性能

2017年07月17日 16:38    发布者:eechina
作者 :Mike Wissolik 、 Darren Zacher 、 Anthony Torza 和 Brandon Day

数据中心、有线应用及其它带宽密集型应用所需的性能,远远高于传统的 DRAM 技术。和市场上已有的存储器相比, HBM 存储器在性能、功耗和尺寸上,能为系统架构师和 FPGA 设计人员带来前所未有的优势。

摘要


在过去的十年里,电子系统在计算带宽上呈现出指数级的增长。计算带宽的大幅提升,也显著提高了存储带宽要求,以满足计算需求。这类系统的设计人员经常 发现市场上的并行存储器(例如 DDR4)再也无法满足应用的带宽需求。 赛灵思支持高带宽存储器 (HBM) 的 FPGA 能够以最低的功耗、尺寸和系统成 本提供高带宽,显然能够轻松应对这类挑战。在设计这款 FPGA 的过程中,赛 灵思与其他领先半导体厂商一样,选择了业界唯一经过证明的堆叠硅片互联技 术(即台积电 (TSMC) 的 CoWoS 集成工艺)。这篇白皮书将介绍赛灵思 Virtex® UltraScale+™ HBM 器件如何满足大幅提升的系统存储带宽需求,同时保持功 耗、尺寸和成本在限定范围内。

下载全文:
167273

该文章有附件资料,如需下载请访问 电脑版