vhdl PROCESS 的问题

2010年11月19日 22:35    发布者:surfinet
用PROCESS老是报错,有何诀窍呢?
请大家告知

网友评论

xyj 2010年11月21日
:lol
帮你顶
bashao 2010年11月29日
注意后面更信号敏感量
bashao 2010年11月29日
注意后面更信号敏感量
同时process里面的语句是顺序执行的
dabieshan 2010年12月14日
能说得详细点吗?就这样怎么来判断啊
Armoric 2010年12月15日
具体问题具体分析呀。
baiyefengqi 2010年12月25日
把错误信息贴出来,好解答
longzhongyu 2010年12月28日
注意敏感性列表,和进程中是顺序执行语句就可以了
xujianwu 2011年04月21日
少个标点符号都不行 得仔细
110 2011年05月07日
????
zero_nothing 2013年09月06日
:):):)