善用Vivado工程配置文件xpr快速工程创建

2016年10月19日 17:42    发布者:rousong1989
善用Vivado工程配置文件xpr快速工程创建对于第一次新建工程,没啥捷径,建议大家规规矩矩的使用Vivado的GUI创建工程。完成工程创建后,我们找到这个新建工程下的.xpr文件,它是工程配置文件。如我们新建工程的.xpr打开有,内容如下:                                                                                                                                                                                                   Vivado Synthesis Defaults                                                      Default settings for Implementation.                                                                                            ////////////////////////////////////////////////////////////////////////////////        对于这个工程配置文件,可以根据我们的需要,移植我们需要的信息。举个最简单的例子,我们只想在某个路径下创建一个以某个型号FPGA器件为主的工程,那么只要截取其中很少一部分即可(最前面的Configuration中的部分内容):         注意,这里我们只需要改2个地方,即上面代码里面红色字体部分:1. Path="D:/datasheet/xilinx/XDDR_PRJ/xddr_prj.xpr"这个表示工程存放路径,大家可以把自己的工程存放路径放上去覆盖它,注意是“/”,而windows的路径都是“\”。2. Val="xc7a50tftg256-1"表示所使用的FPGA器件型号,大家也可以使用自己的器件覆盖上去。比如,特权同学这里只修改了路径,使用一样型号的FPGA型号。那么修改如下。                 如图所示,不要忘记吧xadc_prj.xpr文件放置到脚本中设置的路径下。         双击xadc_prj.xpr,Vivado工具将被打开,会自动以此创建新的工程,界面如图所示。         此时,工程文件夹里也自动创建了很多新的子文件夹。         当然了,在xadc_prj.xpr文件里,也平白无故的增加了一大堆新的配置信息,这都归功于Vivado自动配置功能。         这里只是举个xpr文件做工程移植的最简单例子,算是抛砖引玉,大家可以自己根据需要定制自己的移植配置文件,这对于要多次创建基于同一个FPGA器件平台的工程而言,非常高效。这其实也是脚本开发相对于GUI开发方式的一个主要优势。