急!!!!!!!!!!fpga设计:两个异步全局时钟的切换

2012年08月13日 10:40    发布者:诸神
我现在有两个clk:clk1和clk2,都是100mhz,我使用bufgmux进行全局时钟的切换,但是不能够热插拔(clk1是永远存在的;clk2优先级高于clk1,当程序运行正常后,拔掉或者插上clk2程序将死掉;但是插上clk2后开机可以切换,或者拔掉clk2后开机也可以切换),请求高手指点????????????????????????

网友评论

asyou 2012年08月13日
你要把Bufgmux的切换条件搞清楚,必须是前一个时钟的低电平和后一个时钟的下降沿才发生切换!仔细读手册吧!
asyou 2012年08月14日
都不回来感谢我一下!
老郭 2012年08月14日
谢谢楼上:)