ISE10.1除法器IP核问题,各位大侠帮帮忙,很着急》。。。。

2012年05月24日 16:01    发布者:liqibeckham
我尝试了IP内核中的Math Functions--dividers, 里面有2个IP核,我都试过了,仿真的时候输出总是高阻状态。
我使用的是Xilinx V2PRO, ISE10.1, Verilog语言,采用自带的ISE Simulator仿真器
仿真的时候出现下面这行提示,并且仿真结果也一直处于高阻状态,求求各位大侠帮我解决,真的很着急......


HDLCompiler:559 - "divider.v" Line 60. Instantiating unknown module DIV_GEN_V1_0

网友评论

McuPlayer 2012年05月24日
请不要重复发帖