Candence NC-Verilog simulator tutorial

2012年03月19日 22:33    发布者:诸葛孔明
这个手册将向你介绍使用NC-Verilogsimulator和SimVision。
本文使用的是一个用Veilog硬件编程语言编写的一个饮料分配机,通过这个例子你将学会:
·编译Verilog源文件,描述设计,在NC-Launch(用于管理你的大型设计的图形交互接口)上进行设计的仿真。NCLaunch帮助你配置和启动编译器,描述器和仿真器。当然你还可以在NCLaunch上运行像SDF compiler,HDL Analysis and Lint,
CodeCoverage Analyzer, NCBrowse, and Comparescan.之类的工具。
·在SimVision分析环境下进行对设计中的问题的调试。
SimVision是一个candence 仿真器统一的图形化的调试环境。SimVision可以用于调试用verilog,vhdl,SystemC或者它们混合写成的数字,仿真,或数模混合电路的设计。
你可以在以下几种模式运行SimVision:
·Simulate模式
在Simulate模式下你可以实时的看到仿真的数据。也就是说,你可以在仿真仿真的过程中就进行数据的分析。你可以通过对设计设置断点和分步来达到控制仿真的。
为了帮助你追踪整个仿真过程,SimVision提供了几个工具:
·控制台窗口  Console Window
·源浏览器    Source Browser
·设计浏览器  Design Browser
·循环阅读器  Cycle Viewer
·原理图追踪    Schematic Tracer
·信号流浏览器 Signal Flow Browser
·波形窗口    Waveform Window
·寄存器窗口  Register Window
这些窗口之间是连接了的,所以如果你在一个窗口中选中了一个对象,那么在其它窗口中也会被选中。

·Post-processingenvironment (PPE)模式
在PPE模式下,对仿真结果数据的分析是在仿真过程结束了后进行的。除了仿真器之外,你能访问所有的SimVision的工具。就像Simulator模式中一样,这里所有的窗口也是连接了的,你在一个窗口中选择了的目标也会在其它窗口中选中。要在PPE模式下运行,你必须先对设计进行仿真,然后把仿真的资料存到一个文件中,你可以随时从simulation模式切换到PPE模式,但是不能在PPE模式切换到simulation模式中去。
本指南将向你介绍SimVision的主要几个工具:
控制台Console window:
Console window允许你输入tcl仿真的命令和SimVision的命令。
Design Browser:
    Design Browser让你进入设计的各层,以及信号和变量的数据库。
Register window:
     Register window让你可以使用一个开放式的图形编辑器来定义一些记录簿,每个都包含了一个自定义的仿真数据的观点。
Signal Flow Browser:
    Signal Flow Browser让你追踪信号的变化。
Source Browser:
    Source Browser让你可以看到设计的源代码。
Waveform window:
    Waveform window把仿真的资料在X-Y坐标系里面描绘出来。数据通常被显示为值和时间的关系,但是它也可以是已经记录下了的数据。

下载:70008
该文章有附件资料,如需下载请访问 电脑版

网友评论

rinllow6 2012年03月20日
谢谢!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
cool_clf 2014年03月10日
非常感谢啦!!!!!!!
FinFET 2014年10月22日
非常好的资料
jimcmwang 2015年03月31日
   Candence NC-Verilog simulator tutorial.rar (783.72 KB, 下载次数: 47)
孑乀 2016年09月14日
随便百度都比这好