勇敢的芯伴你玩转Altera FPGA连载99:基于UART控制的VGA多模式显示

2018年11月25日 20:39    发布者:rousong1989
勇敢的芯伴你玩转Altera FPGA连载99:基于UART控制的VGA多模式显示特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD 功能简介         如图10.48所示,本实例需要用户自己准备好一台VGA显示器和相应的VGA线,VGA线用于连接CY4开发板的J1插座和显示器。PC端通过串口调试助手发送不同串口指令给FPGA,可以显示不同的VGA测试画面,发送数据0x00 -- 黑屏,0x01 -- 全屏红色,0x02 -- 全屏绿色,0x03 -- 全屏蓝色,0x04 -- 全屏白色,0x05 -- 8色彩color bar。图10.48 VGA与UART实例功能框图         本实例模块划分如图10.49所示。图10.49 VGA与UART实例模块层次 板级调试连接好下载线,给CY4开发板供电。打开Quartus II,进入下载界面,将本实例工程下的cy4.sof文件烧录到FPGA中在线运行。         工程代码中默认的显示分辨率为800*600,如图10.51所示,可以看到默认显示器上出现以绿色为边界轮廓的8原色ColorBar。图10.51ColorBar显示效果打开串口调试器后,选择串口为COM10(我们前面在硬件管理器中新识别到的COM口,实验者应以自己电脑识别到的COM口为准),设置波特率为9600,数据位为8,校验位为None,停止位为1。点击“打开串口”。         如图10.52所示,在“发送字符”下方输入十六进制的数据“01”,点击“手工发送”。图10.52 窗口调试器发送数据随后我们便可以看到全屏红色显示。同样的,发送数据0x00 -- 黑屏,0x01 -- 全屏红色,0x02 -- 全屏绿色,0x03 -- 全屏蓝色,0x04 -- 全屏白色,0x05 -- 8色彩color bar。