verilog中寄存器大小

2017年11月17日 09:32    发布者:codefpga
请问verilog中定义reg寄存器类型,有最大位宽限制吗?

网友评论

ddxx 2018年10月11日
宽度是自己定义的,没有限制