FPGA-Verilog学习之红外接收解码_红外接收源码_明德扬资料

2017年08月02日 16:50    发布者:mdykj33
工程说明本案例实现了编码格式为“引导码+地址码+数据码+数据反码”的红外发送数据进行接收和解码,并将收到的数据显示到七段译码器上。案例补充说明在实际的产品设计或业余电子制作中,编码芯片并一定能完成要求的功能,这时就需要了解所使用的编码芯片到底是如何编码的。只有知道编码方式,我们才可以使用单片机或数字电路去定制解码方案。
文档代码说明167744
该文章有附件资料,如需下载请访问 电脑版

网友评论

looke2 2020年09月24日
又是个大忽悠,卖东西的