新手请教VHDL程序问题

2014年01月10日 00:15    发布者:littlejane
** Error: C:/Modeltech_6.2e/examples/smg2.vhd(2): near "EOF": expecting: ARCHITECTURE CONFIGURATION ENTITY LIBRARY PACKAGE USE
程序出现这种错误怎么办啊?